Вопросы по электронике?

и задачки для интервью.
User avatar
flip_flop
Уже с Приветом
Posts: 4375
Joined: 20 Jun 2001 09:01

Post by flip_flop »

tengiz wrote:
flip_flop wrote:Не только - но это связано также с RF - "нужно чтобы мозги были соответсвенным образом повернуты" :wink:

То что я уже говорил - отсутствие сосредоточенного в узкой полосе излучения
Correct. :fr: А скрамблер еще больше размазывает сигнал по спектру (eliminates repetitive patterns)- представьте передачу одного и того же байта. И все это может накладываться на SSC in reference clocks для усиления вящего эффекта. EMI noise ... Go noise?
Last edited by flip_flop on 21 Sep 2005 03:15, edited 1 time in total.
User avatar
flip_flop
Уже с Приветом
Posts: 4375
Joined: 20 Jun 2001 09:01

Post by flip_flop »

KP580BE51 wrote:Собственно к клокам это имеет очень опосредованное значение.

Садитесь - ДВА. В семестре. Для мултигигабитных клоков jitter - это священная корова.
User avatar
KP580BE51
Уже с Приветом
Posts: 15007
Joined: 14 Jun 2005 11:50
Location: Ukraine

Post by KP580BE51 »

flip_flop wrote:
tengiz wrote:
flip_flop wrote:Не только - но это связано также с RF - "нужно чтобы мозги были соответсвенным образом повернуты" :wink:

То что я уже говорил - отсутствие сосредоточенного в узкой полосе излучения
Correct. :fr: А скрамблер еще больше размазывает сигнал по спектру (eliminates repetitive patterns)- представьте передачу одного и того же байта. И все это может накладываться на SSC in reference clocks для усиления вящего эффекта. EMI noice ... Go noice?

Вы (случайно) не о CDMA?
User avatar
flip_flop
Уже с Приветом
Posts: 4375
Joined: 20 Jun 2001 09:01

Post by flip_flop »

KP580BE51 wrote:
flip_flop wrote:
tengiz wrote:
flip_flop wrote:Не только - но это связано также с RF - "нужно чтобы мозги были соответсвенным образом повернуты" :wink:

То что я уже говорил - отсутствие сосредоточенного в узкой полосе излучения
Correct. :fr: А скрамблер еще больше размазывает сигнал по спектру (eliminates repetitive patterns)- представьте передачу одного и того же байта. И все это может накладываться на SSC in reference clocks для усиления вящего эффекта. EMI noise ... Go noise?

Вы (случайно) не о CDMA?

Нет - я о скоростных интерфейсах (PCIe, SATA, SAS, 10G Ethernet) - они тоже "свистят".
User avatar
KP580BE51
Уже с Приветом
Posts: 15007
Joined: 14 Jun 2005 11:50
Location: Ukraine

Post by KP580BE51 »

flip_flop wrote:
KP580BE51 wrote:Собственно к клокам это имеет очень опосредованное значение.

Садитесь - ДВА. В семестре. Для мултигигабитных клоков jitter - это священная корова.

PLL разводить нужно уметь. :)
Может как-то определимся, что конкретно обсуждаем? PCI с I2C или гигабитный канал? Может уже и есть FPGA, которые на гигагерцах работают :) но я о них не слышал. Есть микросхеммы которые клок восстанавливают. Там свои проблеммы. ИМХО.
User avatar
KP580BE51
Уже с Приветом
Posts: 15007
Joined: 14 Jun 2005 11:50
Location: Ukraine

Post by KP580BE51 »

flip_flop wrote:
KP580BE51 wrote:Вы (случайно) не о CDMA?

Нет - я о скоростных интерфейсах (PCIe, SATA, SAS, 10G Ethernet) - они тоже "свистят".

Тогда по другому спрошу, вы о том, чтобы размазать рабочие частоты по спектру чтобы быть в пределах стандартов на предельно допустимые помехи, или про собственно радиосвязь?
User avatar
flip_flop
Уже с Приветом
Posts: 4375
Joined: 20 Jun 2001 09:01

Post by flip_flop »

KP580BE51 wrote:
flip_flop wrote:
KP580BE51 wrote:Собственно к клокам это имеет очень опосредованное значение.

Садитесь - ДВА. В семестре. Для мултигигабитных клоков jitter - это священная корова.

PLL разводить нужно уметь. :)
Может как-то определимся, что конкретно обсуждаем? PCI с I2C или гигабитный канал? Может уже и есть FPGA, которые на гигагерцах работают :) но я о них не слышал. Есть микросхеммы которые клок восстанавливают. Там свои проблеммы. ИМХО.

PLL не решает всех проблем, но может создать новые. К тому же гораздо сложнее восстановить клок и данные в рисивере чем обеспечить хороший клок в трансмиттере. Представьте себе на минутку - сигнал добирается до рисивера в виде полностью закрытых глаз (channel ISI), затем паразитные помехи от других линий превышают амплитуду сигнала, куча звона из-за дырок в плате и т.д. А сигнал надо восстанавливать. Один хинт - сложности пре переходе от, например, 5-ти ГГц к 10 ГГцам повышаются далеко не в два раза. А интерфейс на 10 GB/sec у Xilinx имеется, для Вашего сведения.
P.S. Обсуждается то, чот выше Gb/sec/channel
User avatar
flip_flop
Уже с Приветом
Posts: 4375
Joined: 20 Jun 2001 09:01

Post by flip_flop »

KP580BE51 wrote:
flip_flop wrote:
KP580BE51 wrote:Вы (случайно) не о CDMA?

Нет - я о скоростных интерфейсах (PCIe, SATA, SAS, 10G Ethernet) - они тоже "свистят".

Тогда по другому спрошу, вы о том, чтобы размазать рабочие частоты по спектру чтобы быть в пределах стандартов на предельно допустимые помехи, или про собственно радиосвязь?
размазать рабочие частоты по спектру
User avatar
KP580BE51
Уже с Приветом
Posts: 15007
Joined: 14 Jun 2005 11:50
Location: Ukraine

Post by KP580BE51 »

flip_flop wrote:PLL не решает всех проблем, но может создать новые. К тому же гораздо сложнее восстановить клок и данные в рисивере чем обеспечить хороший клок в трансмиттере.

Это не очевидно?
Представьте себе на минутку - сигнал добирается до рисивера в виде полностью закрытых глаз (channel ISI), затем паразитные помехи от других линий превышают амплитуду сигнала, куча звона из-за дырок в плате и т.д. А сигнал надо восстанавливать.

Ну так нужно или плату переразводить, или что-то еще делать.
Один хинт - сложности пре переходе от, например, 5-ти ГГц к 10 ГГцам повышаются далеко не в два раза. А интерфейс на 10 GB/sec у Xilinx имеется, для Вашего сведения.

В виде макрофункции. Это не считается. :)
User avatar
flip_flop
Уже с Приветом
Posts: 4375
Joined: 20 Jun 2001 09:01

Post by flip_flop »

KP580BE51 wrote:Это не очевидно?

Это очевидно - это просто возражение Вашему стремлению свести все к PLL. Кстати, хотите вопросов по PLL?
Ну так нужно или плату переразводить, или что-то еще делать.

Весьма конкретное замечание. Впрочем, согласен - "или что-то еще делать", he-he
User avatar
KP580BE51
Уже с Приветом
Posts: 15007
Joined: 14 Jun 2005 11:50
Location: Ukraine

Post by KP580BE51 »

flip_flop wrote:
KP580BE51 wrote:Это не очевидно?

Это очевидно - это просто возражение Вашему стремлению свести все к PLL. Кстати, хотите вопросов по PLL?

Нет никакого у меня желания все к PLL свести. Все что я делал с PLL, это на соответсвующие лапы вешал соответсвующие фильтры, и конфигурировал в соответствии с соответсвующей инструкцией. :)
Ну так нужно или плату переразводить, или что-то еще делать.

Весьма конкретное замечание. Впрочем, согласен - "или что-то еще делать", he-he

Темма выродилась. :(
Про котов и то интереснее.
User avatar
kosmo
Уже с Приветом
Posts: 2197
Joined: 08 May 2004 01:11
Location: Kalifornia

Post by kosmo »

flip_flop wrote:
KP580BE51 wrote:Это не очевидно?

Это очевидно - это просто возражение Вашему стремлению свести все к PLL. Кстати, хотите вопросов по PLL?
Ну так нужно или плату переразводить, или что-то еще делать.

Весьма конкретное замечание. Впрочем, согласен - "или что-то еще делать", he-he
Bandpass filter спасет отца русской демократии.
User avatar
flip_flop
Уже с Приветом
Posts: 4375
Joined: 20 Jun 2001 09:01

Post by flip_flop »

kosmo wrote:Bandpass filter спасет отца русской демократии.
До ~4-6 Gb/sec да, для ~10 Gb/sec - нет (ех, если бы все было так просто), одним простым иквалайзером не обойтись. Здесь уже надо рассматривать не только и не столько потери в канале, но и отражения из-за несогласования нагрузок/влияния неидеальной геометрии, паразитные помехи (crosstalks). S11~S21, S21 начинает существенно осциллировать в этом диапазоне. Все конечно решается, но не так чтобы совсем уж просто. Кстати, тоже достаточно интересная концептуальная задачка. Если слишком примитивная - скажите, я больше не буду нудить :hat:
User avatar
KP580BE51
Уже с Приветом
Posts: 15007
Joined: 14 Jun 2005 11:50
Location: Ukraine

Post by KP580BE51 »

flip_flop wrote:S11~S21, S21 начинает существенно осциллировать в этом диапазоне. Все конечно решается, но не так чтобы совсем уж просто. Кстати, тоже достаточно интересная концептуальная задачка. Если слишком примитивная - скажите, я больше не буду нудить :hat:

Отражения я наблюдал и на 115200. :)

Интересно, а что на таких частотах применяется? (Для общего развития) мне смутно представляется какая-то могучая микросхемма, с интегрированым лазером, которая принимает поток, восстанавливает частоту и выдает уже паралельный код. Такие частоты, это насколько я знаю, уже ЭСЛ применяется.... И как текстолит на таких частотах?
User avatar
flip_flop
Уже с Приветом
Posts: 4375
Joined: 20 Jun 2001 09:01

Post by flip_flop »

KP580BE51 wrote: ... могучая микросхема
Мне нравится такое определение :wink: . Но давайте без деталей, давайте на концептуальном уровне.
User avatar
KP580BE51
Уже с Приветом
Posts: 15007
Joined: 14 Jun 2005 11:50
Location: Ukraine

Post by KP580BE51 »

flip_flop wrote:
KP580BE51 wrote: ... могучая микросхема
Мне нравится такое определение :wink: . Но давайте без деталей, давайте на концептуальном уровне.

Так а что тут смотреть-то?
http://www.bookham.com/index.cfm?navId=17
Это как принимать.
http://direct.xilinx.com/bvdocs/userguides/ug035.pdf
Это как декодировать. Страница 178, производит душераздирающее зрелище..... :х
User avatar
flip_flop
Уже с Приветом
Posts: 4375
Joined: 20 Jun 2001 09:01

Post by flip_flop »

KP580BE51 wrote:
flip_flop wrote:
KP580BE51 wrote: ... могучая микросхема
Мне нравится такое определение :wink: . Но давайте без деталей, давайте на концептуальном уровне.

Так а что тут смотреть-то?
http://www.bookham.com/index.cfm?navId=17
Это как принимать.

Это для оптики, мы говорим о системе на "карточках" - коннекторы, виа, стабы на платах на медном носителе . Для оптики все давно сделано без особых ухищрений.
http://direct.xilinx.com/bvdocs/userguides/ug035.pdf
Это как декодировать.

Это более интересно - Xilinx поступил мудро, купив людей из RocketIO . Это и как посылать и как принимать и как декодировать, между прочем. Это именно то, что я Вам говорил ранее о Xilinx 10 Gbps. Проблемы с такими системами (первый лабораторный экземпляр я видел более 3-х лет назад) - они работают только на специальных тщательно спроектированных платах. На платах общего назначения (дешевых) все не так хорошо, поэтому микросхема должна компенсировать все вышеописанные еффекты. Плюс многое зависит от длины соединений.
.Страница 178, производит душераздирающее зрелище..... :х

Common, это всего лишь документация. Алгоритм описывается не "дубовой" таблицей, а достаточно элегантной логикой (от ИБМ).
Все - хватит на сегодня - BB.
User avatar
KP580BE51
Уже с Приветом
Posts: 15007
Joined: 14 Jun 2005 11:50
Location: Ukraine

Post by KP580BE51 »

flip_flop wrote:Это для оптики, мы говорим о системе на "карточках" - коннекторы, виа, стабы на платах на медном носителе . Для оптики все давно сделано без особых ухищрений.

Я уже давно не могу понять, о чем мы говорим.

Я погуглил, как я понял, разьемы/кабеля на такие частоты - не проблемма.
Это более интересно - Xilinx поступил мудро, купив людей из RocketIO . Это и как посылать и как принимать и как декодировать, между прочем. Это именно то, что я Вам говорил ранее о Xilinx 10 Gbps.

Я это уже понял. В общем уже все сделано. "Черный ящик", с одной стороны - 10гб/с с другой 64разрядная шина.
Проблемы с такими системами (первый лабораторный экземпляр я видел более 3-х лет назад) - они работают только на специальных тщательно спроектированных платах. На платах общего назначения (дешевых) все не так хорошо, поэтому микросхема должна компенсировать все вышеописанные еффекты. Плюс многое зависит от длины соединений.

С контролируемым импедансом? Я неоднократно видел что один из этапов тестирования ПП именно контроль импеданса. Вроде как и не сильно плохо, по цене.
Common, это всего лишь документация. Алгоритм описывается не "дубовой" таблицей, а достаточно элегантной логикой (от ИБМ).
Все - хватит на сегодня - BB.

На 178 описывается что нужно сверлить, чтобы не было отражений на via. А элегантная логика имеет свойство тормозить. 8 килобит не так и много, для таблицы.
User avatar
Dm.uk
Уже с Приветом
Posts: 5812
Joined: 12 Apr 2001 09:01
Location: нэподалеку от Ireland

Post by Dm.uk »

> I2C - 400кгц максимум. PCI - 33мгц минимум. Тоесть I2C вообще проблем нету. Можно как угодно делать.

ны Вы и зануда :wink: Ok, на мамке в PC есть полно bridges, например (мамка 8 летней давности PCI -> Ethernet 10M bridge). Привожу данный пример что бы отойти от 8b/10b

Да, а Rocket-IO (с 8b/10b) работает, сам видел :wink:


>> Остается открытым еще один вопрос - зачем использовать и скрамблер и 8b10b енкодер?

> Что есть скрасблер, я так окончательно и не понял


дык в спеке на SATA ответ есть, что бы кое-что "убрать" итп. Понял, не прочитали :wink: Да, Вам уже ответили ...


> Может как-то определимся, что конкретно обсуждаем?

я вчера уже предложил разделить задачки на простые и сложные. Простые уже обсудили (хотя нет, выдам пару комментариев), а в сложные можно обсуждать еше долго

Kosmo, Про FSM с handshakeами. Я имел ввиду ~ вариант описанный на фиг.15 в статье от Cadence. В данной реализации широкоизвестный еффект описанный Вами невозможен, подразумевается что Tx никогда не выдаст ready до тех пор пока не пришел предыдуший done итд. Что делать если done так и не пришел - отдельный разговор ...


>> Распределенный FSM с возможными невалидными состояниями - ненадежная конструкция.

> Это? Можно "попасть" на фиг3. И если системма с клоком А будет ждать ответа от сигнала Б, а системма Б. будет ждать запроса, котороый она пропустила по причине небольшого рассогласования клоков (а то и просто, помехи) то все это будет висеть до ресета.


нет, мы не про ето :?


PS btw, scrambler мне первый раз повстречался в Glonass, все работает уже лет 20, нет проблем.

PS2 попдпишусь под каждым словом FF о том что необходимо учитывать массу параметров, в том числе и как сверлить vias, какие платы можно применять, trackes, clearance, etc, а какие нет итд ...
Last edited by Dm.uk on 21 Sep 2005 16:22, edited 2 times in total.
User avatar
KP580BE51
Уже с Приветом
Posts: 15007
Joined: 14 Jun 2005 11:50
Location: Ukraine

Post by KP580BE51 »

Dm.uk wrote:> I2C - 400кгц максимум. PCI - 33мгц минимум. Тоесть I2C вообще проблем нету. Можно как угодно делать.
ны Вы и зануда :wink:

Тут сложный социо-моральный вопрос, замешаный на религиозных корнях... :)

Ok, на мамке в PC есть полно bridges, например (мамка 8 летней давности PCI -> Ethernet 10M bridge). Привожу данный пример что бы отойти от 8b/10b

Помнится, весьма смутно, что что этот самый контроллер, выглядит как часть ОЗУ, которая мапится через PCI на пространсто ОЗУ. Также точно помню что там есть кварц (тоесть задающий генератор). Так что мне кажется что там внутри двунаправленное ОЗУ, которое видится со стороны PCI на своей частоте, а со стороны всяких там манчестеров и CRC на своей (тоесть частоты приема).
Kosmo, Про FSM с handshakeами. Я имел ввиду ~ вариант описанный на фиг.15 в статье от Cadence. В данной реализации широкоизвестный еффект описанный Вами невозможен, подразумевается что Tx никогда не выдаст ready до тех пор пока не пришел done итд. Что делать если done так и не пришел - отдельный разговор ...

Вопрос можно перефразировать: Что делать, если мастер включился, а со слейва висит сообщение что ДОНЕ еще не пришел.

ИМХО только один вариант - нужна возможность чтобы мастер смог ресетнуть слейва. Тоесть или какой-то сигнал дополнительный, или какое-то сочетание сигналов. Помнится я когда-то делал так, что если опроса слейва мастером не происходит, то слейв перегружается по wDog.
User avatar
Dm.uk
Уже с Приветом
Posts: 5812
Joined: 12 Apr 2001 09:01
Location: нэподалеку от Ireland

Post by Dm.uk »

> что что этот самый контроллер, выглядит как часть ОЗУ, которая мапится через PCI на пространсто ОЗУ.

ето с точки зрения Java программера :lol:

> Также точно помню что там есть кварц (тоесть задающий генератор). Так что мне кажется что там внутри двунаправленное ОЗУ, которое видится со стороны PCI на своей частоте, а со стороны всяких там манчестеров и CRC на своей (тоесть частоты приема).

как уже обсудили, вариантов реализации bridge - масса. Вы спросили где, например, возникает проблема CDC (простая задача), bridges - наглядный пример.


> ИМХО только один вариант - нужна возможность чтобы мастер смог ресетнуть слейва. Тоесть или какой-то сигнал дополнительный, или какое-то сочетание сигналов. Помнится я когда-то делал так, что если опроса слейва мастером не происходит, то слейв перегружается по wDog.

правильно, ето один из вариантов того что делать если RX FSM так и не "обработала" ready.
User avatar
KP580BE51
Уже с Приветом
Posts: 15007
Joined: 14 Jun 2005 11:50
Location: Ukraine

Post by KP580BE51 »

Dm.uk wrote:> что что этот самый контроллер, выглядит как часть ОЗУ, которая мапится через PCI на пространсто ОЗУ.

ето с точки зрения Java программера :lol:

С его точки зрения это какая-то непонятная штука, зеленая, но не Йода, без ушей....

Я драйвер под линухом глянул, кажется именно так. Могу завтра глянуть доки.
User avatar
Dm.uk
Уже с Приветом
Posts: 5812
Joined: 12 Apr 2001 09:01
Location: нэподалеку от Ireland

Post by Dm.uk »

>> Bandpass filter спасет отца русской демократии.

> До ~4-6 Gb/sec да, для ~10 Gb/sec - нет (ех, если бы все было так просто), одним простым иквалайзером не обойтись. Здесь уже надо рассматривать не только и не столько потери в канале, но и отражения из-за несогласования нагрузок/влияния неидеальной геометрии, паразитные помехи (crosstalks). S11~S21, S21 начинает существенно осциллировать в этом диапазоне. Все конечно решается, но не так чтобы совсем уж просто. Кстати, тоже достаточно интересная концептуальная задачка.


хмм, а вот на ету задачу я ответа то и не знаю. Надо подумать ... Вариант с "накоплением и перемножением" отбросим сразу :-)

Наводяш,ий вопрос - а почему 4-6 Gb/sec, а не, например, 6-8 ?
User avatar
flip_flop
Уже с Приветом
Posts: 4375
Joined: 20 Jun 2001 09:01

Post by flip_flop »

Dm.uk wrote: Наводяш,ий вопрос - а почему 4-6 Gb/sec, а не, например, 6-8 ?
Не готов ответить почему, завтра спрошу у наших SI спецов нащет обоснования. Однако все практикующие инженеры согласны с таким эмпирическим обобщением. Да, забыл упомянуть, что имеются ввиду в первую очередь системы типа ATCA, для SONET/SDH, XAUI, etc. попроще будет на тех же частотах.
User avatar
flip_flop
Уже с Приветом
Posts: 4375
Joined: 20 Jun 2001 09:01

Post by flip_flop »

Оживляем топик.

Насчет "трудного" диапазона никакой особой физики нет - просто состояние дел такое - платы в совокупности с коннекторами делают свое грязное дело. Убирается каскадированием линейного иквалайзера для компенсации потерь в канале ( пре- и де- имфазиса на стороне трансмиттера и линейного иквалайзера на стороне рисивера в виде цифрового или аналогового FIR фильтра, иногда адаптивного ) и адаптивного DFE фильтра на стороне рисивера, главным образом для компенсации отражений. Для разработчика адаптивных алгоритмов и их реализации в силиконе есть пространство для приложения интеллекта.

Не будучи спецом в области RF я думаю что гипотетический набор элементарных вопросов для RF инженера включал бы в себя следующее:
- проектирование PLL - не "развести" готовое изделие а определить системные параметры, затем схемотехнические параметры, затем методы реализации в силиконе в зависимости от области применения (которых море)
- качественные свойства диаграмм Смитта
- s-параметры - почему эта форма превалирует над другими характеристиками?
- преимущества смешанной формы s-параметров над класссической

Теперь некоторые простые "концептуальные" вопросы
1) иногда дробовой шум обьясняется целиком дискретностью носителей заряда - что неверно в таком определении?
2) 1/f шум - при стремлении f к нулю мощность шума в одной декаде будет приближаться к бесконечности - как увязать это с реальностью?
3) временные параметры (например, импульсная характеристика вход-выход ) блока определятся s-параметрами, измеренными в некотором диапазоне частот, начиная с некоторой ненулевой частоты. Восспроизводя импульсную характеристику по s-параметрам частенько можно увидеть странное поведение - сигнал начинает "ползти" и "дребезжать" до ожидаемого времени задержки , а также на "хвосте" импульсной характеристики, после ожидаемого затухания. В чем причина и как избавиться от такого артефакта?
4) в чем состоит основная ограниченность столь возлюбленного многими подхода - "ставим прямо на вход АЦП и все переводим в цифру"?
5) как отличить на интервью опытного аналогового дизайнера он начинающего? :wink:

Return to “Головоломки”